Find your dream job now!

Click on Location links to filter by Job Title & Location.
Click on Company links to filter by Company & Location.
For exact match, enclose search terms in "double quotes".

Keywords: RTL Design Engineer - Power, Location: India

Page: 2

SMMU IP RTL Design Engineer- Sr

Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems, bring-up yield, circuits, mechanical... field. 3+ years of Hardware Engineering or related work experience. 2+ years of experience with circuit design (e.g...

Company: Qualcomm
Posted Date: 10 Jan 2025

RTL Design Engineer(WLAN) -Senior Staff Engineer

Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems, bring-up yield, circuits, mechanical... experience. 3+ years of experience with circuit/logic design/validation (e.g., digital, analog, RF). 3+ years of experience...

Company: Qualcomm
Posted Date: 10 Jan 2025

SoC RTL Designer

a SoC RTL Design Engineer to join the HW design team focused on IP design and full chip integration. This position... in Pune and similar time zones. The qualified candidate will be working in RTL design, best-in-class coding styles...

Posted Date: 20 Feb 2025

SoC RTL Designer

Design Engineer to join the HW design team focused on IP design and full chip integration. This position is an opportunity... and similar time zones. The qualified candidate will be working in RTL design, best-in-class coding styles, algorithms...

Posted Date: 16 Feb 2025

CPU Micro-architect/RTL Designer -Sr Lead/ Staff / Sr Staff

and RTL Design Engineer, you will work with chip architects to conceive of the micro-architecture, and also help... specification. RTL ownership. Development, assessment and refinement of RTL design to target power, performance, area and timing...

Company: Qualcomm
Posted Date: 02 Feb 2025

RTL Engineer

Checks: Clock Domain Crossing (CDC) check, Lint, etc. Design for Testability (DFT) checks Low Power Checks RTL Synthesis... Experience level 4-7 years Experience with micro-architecture and design of digital IPs and subsystems Understanding the RTL...

Company: Quest Global
Posted Date: 10 Jan 2025

RTL Deisgn Engineer(WLAN) - Sr Lead

Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems, bring-up yield, circuits, mechanical... best power, performance, and area. Collaborates with teams (e.g., design, verification, validation, software and systems...

Company: Qualcomm
Posted Date: 10 Jan 2025

Senior Staff Digital Design Engineer - Power

Responsibilities: MaxLinear is seeking a Senior Staff Digital Design Engineer to join our Analog Mixed Signal (AMS...) Design group. AMS design team provides innovative solutions for the challenges in power management and serial transceiver...

Company: MaxLinear
Posted Date: 18 Dec 2024

Discrete Graphics Power Delivery Design Engineer

Job Details: Job Description: Works on end-to-end power delivery design and development, spanning initial concept... to implementation of power delivery requirements and ecosystem enabling of power integrated circuits. Designs power delivery solutions...

Company: Intel
Posted Date: 27 Feb 2025

DSP Low power design engineer- Sr

Engineering General Summary: Creating power spec for Qualcomm DSP IPs based on the design spec Power intent development using... UPF for DSP IPs based on power spec Power intent validation at RTL level , Gate level (synthesis , PD ) using CLP Fixing...

Company: Qualcomm
Posted Date: 30 Jan 2025

Senior Staff Power Design Engineer

, Power Supply integrity checks Low Power design & Signoff Work on complete SoC design cycle of ASICs, starting... from Architecture definition, feasibility planning/benchmarking for Power/Performance/Area/Yield to end-to-end design/Implementation...

Company: Marvell
Posted Date: 10 Jan 2025

ASIC Engineer, Power

applications. ASIC Engineer, Power Responsibilities Work with Architecture and Design teams to assess power/performance... tradeoffs at design/arch/process-tech levels and drive for solutions for Meta workloads. Define the power specification...

Company: Meta
Posted Date: 14 Feb 2025

Graphics Power Performance Engineer - Staff/Senior Staff

and maintain tests for pre-silicon and post-silicon power verifications. Work closely with multiple teams such as RTL designer... and verification 5+ years of experience with low-power ASIC design techniques Experience with industry tools such as PrimeTime PX...

Company: Qualcomm
Posted Date: 04 Jan 2025

Principal Design Engineer

. Job Title: Principal Design Engineer Location: Bangalore Cadence is a pivotal leader in electronic design, building upon... and scan insertion, STA and IR drop. · Good understanding of Logic design, RTL implementation & verification, logic synthesis...

Posted Date: 06 Mar 2025

Lead Design Engineer

environment Should have knowledge of complete ASIC Design Flow, including Synthesis, Physical Designing , Timing Analysis, Power.... Job Responsibilities: Digital design implementation of state-of-the-art Cadence IPs using Cadence EDA tools - Genus, Innovus, Tempus...

Posted Date: 21 Feb 2025

Lead Design Engineer

closure, power optimization, and physical verification for both of block and Chip top level You will mainly work on PHY RTL... experience strongly preferred. Prior experience RTL design of high-speed interfaces. Prior experience of collaborating...

Posted Date: 22 Dec 2024

Physical Design Engineer

Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems, bring-up yield, circuits, mechanical... in leading block level or chip level Physical Design, STA and PDN activities. Work independently in the areas of RTL to GDSII...

Company: Qualcomm
Posted Date: 08 Mar 2025

CPU Physical Design Engineer

Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems, bring-up yield, circuits, mechanical... constraints validation, verification, STA, Physical design, etc. Knowledge of low power flow (power gating, multi-Vt flow, power...

Company: Qualcomm
Posted Date: 08 Mar 2025

MTS Silicon Design Engineer(DFP/VSI/UPF Specialist)

_ MTS SILICON DESIGN ENGINEER THE ROLE: The focus of this role will involve driving the power management strategy... domains. Work with the physical design and RTL teams to ensure voltage islands and isolation cells meet design specifications...

Posted Date: 06 Mar 2025

SoC Physical Design Engineer

. We are looking for a SoC Physical Design Engineer, who is ready to research, design, develop, and test lead Intel designs as we reimagine... and SoC designs from RTL to GDS to create a design database that is ready for manufacturing. Physical Synthesis, Floor...

Company: Intel
Posted Date: 06 Mar 2025