Find your dream job now!

Click on Location links to filter by Job Title & Location.
Click on Company links to filter by Company & Location.
For exact match, enclose search terms in "double quotes".

Keywords: RTL, Location: California

Page: 12

CPU CDC/RDC/STA Engineer

• Working with RTL and DV teams to recommend System Verilog assertions needed to support CDC/RDC/STA constraints and assumptions... • Highlighting to the RTL team any CDC/RDC issues and recommending solutions to them and educating them on CDC/RDC issues and the...

Company: Apple
Location: Santa Clara, CA
Posted Date: 19 Jan 2025

ASIC Engineer, Power

to model performance and power. Build power estimation flows at various levels of abstraction: C-model, RTL, Gate, Layout... between performance and power. Post-silicon bring-up, debug and identify issues on emulator and RTL. Understanding of ASIC design...

Company: Meta
Posted Date: 18 Jan 2025

Sr. Staff Physical Verification CAD Engineer

: Strong understanding of the complete IC design flow, from front-end design (RTL, synthesis, simulation) to back-end physical implementation...

Company: Marvell
Location: Santa Clara, CA
Posted Date: 18 Jan 2025
Salary: $113480 - 170000 per year

Modem Design Engineer

and 20+ years of relevant industry experience. We seek individuals with expert design experience to understand RTL design... analysis and optimizations using advanced synthesis techniques and RTL design improvement for optimal area, timing and power...

Company: Apple
Location: San Diego, CA
Posted Date: 18 Jan 2025

ASIC CAD Development Technical Leader

Familiarity with ASIC design process including RTL, synthesis, logic equivalence, DFT, and backend related methodology and tools...

Company: Cisco Systems
Location: San Jose, CA
Posted Date: 17 Jan 2025

Senior Hardware Engineer

of high performance SoC design at both the block and subchip levels, as well as the full-chip level from RTL to GDSII... from RTL to GDSII. Good knowledge of EM-IR sign-off requirements. Experience in using EDA tools like Synopsys (/Cadence...

Location: Palo Alto, CA
Posted Date: 17 Jan 2025

Director, Technical Program Management - Silicon One (Software)

. Prior experience in managing both software and ASIC design flow (architecture, micro-architecture, RTL, Synthesis...

Company: Cisco Systems
Location: San Jose, CA
Posted Date: 17 Jan 2025

SOC Verification Engineer

team spanning RF/Analog architecture and design, Systems/PHY/MAC architecture and design, VLSI/RTL design and integration..., you will be responsible for pre-silicon RTL verification of block and top-level SOC. With deep understanding of SOC architecture...

Company: Apple
Location: Sunnyvale, CA
Posted Date: 17 Jan 2025

SOC Verification Engineer

team spanning RF/Analog architecture and design, Systems/PHY/MAC architecture and design, VLSI/RTL design and integration..., you will be responsible for pre-silicon RTL verification of block and top-level SOC. With deep understanding of SOC architecture...

Company: Apple
Location: Sunnyvale, CA
Posted Date: 17 Jan 2025

Design Verification Engineer

stimulus and checkers, test-writing, debug, coverage, sign-off for RTL freeze and tape-out...

Company: Apple
Location: Irvine, CA
Posted Date: 17 Jan 2025

ASIC Design Engineer, Senior Technical Leader

and participate in micro-architecture specification reviews. Implement Verilog RTL to meet timing and performance requirements. Help... with RTL modification. Experience developing micro-architecture solutions and RTL implementation. Preferred Qualifications...

Company: Cisco Systems
Location: San Jose, CA
Posted Date: 16 Jan 2025

ASIC Design Engineer, Technical Leader

and participate in micro-architecture specification reviews. Implement Verilog RTL to meet timing and performance requirements. Help.... Interactive and waveform debug experience. Experience resolving setup and hold timing violations with RTL modification...

Company: Cisco Systems
Location: San Jose, CA
Posted Date: 16 Jan 2025

Graphics FE Integration Engineer

. As a member of GPU FE Design integration team, you will create GPU RTL by integrating various IPs following architectural..., you will be responsible for: - RTL integration, assembly, partitioning, transformation and analysis. - Package, qualify and deliver FE design...

Company: Apple
Location: Santa Clara, CA
Posted Date: 16 Jan 2025

Graphics FE Integration Engineer

. As a member of GPU FE Design integration team, you will create GPU RTL by integrating various IPs following architectural..., you will be responsible for: - RTL integration, partitioning, design analysis and qualification. - Run logic equivalence checking...

Company: Apple
Location: Santa Clara, CA
Posted Date: 16 Jan 2025
Salary: $121900 - 183600 per year

Graphics FE Integration Engineer

. As a member of GPU FE Design integration team, you will create GPU RTL by integrating various IPs following architectural..., you will be responsible for: - RTL integration, partitioning, design analysis, qualification, packaging and delivery. - Run logic equivalence...

Company: Apple
Location: Santa Clara, CA
Posted Date: 16 Jan 2025

Wireless MAC Design Engineer

vertically coordinated engineering team spanning Systems/PHY/MAC architecture and design, digital RTL design and integration, RF.... Description Description - You will prepare microarchitecture and RTL for digital logic of the wireless MAC based on a set of functional requirements...

Company: Apple
Location: Sunnyvale, CA
Posted Date: 16 Jan 2025

Digital Design Engineer, Senior Staff

manager to define block micro-architecture and write design specification. Implement a specification using RTL coding... with focus on front-end complex RTL design Programming skills in Verilog Must be familiar with all stages of the ASIC design...

Company: Marvell
Location: Santa Clara, CA
Posted Date: 15 Jan 2025
Salary: $124160 - 186000 per year

Senior Circuit Design Engineer - Power Modeling and Simulation

, mixed-signal RTL+spice, s-parameters, etc. Familiarity/experience with industry -standard design and EDA tools (Cadence...

Company: Nvidia
Location: Santa Clara, CA
Posted Date: 15 Jan 2025

Sr./Staff ASIC Design Engineer

Participate in both top level and module level RTL coding, simulation, synthesis and timing closure Generate test...

Company: OmniVision
Location: Santa Clara, CA
Posted Date: 15 Jan 2025
Salary: $130000 - 200000 per year

SoC Chiplet Design Lead

to balance trade-offs in performance, power, cost, and features. Lead efforts in RTL design, design-for-test (DFT) strategies... from concept to high-volume production. Expertise in RTL design using Verilog or SystemVerilog. In-depth knowledge of SoC...

Company: SkillTorch
Location: Santa Clara, CA
Posted Date: 15 Jan 2025